• 多路智力抢答器免费下载 > 智力竞赛抢答器
  • 智力竞赛抢答器

    免费下载 下载该文档 文档格式:DOC   更新时间:2008-01-01   下载次数:0   点击次数:1
    文档基本属性
    文档语言:Simplified Chinese
    文档格式:doc
    文档作者:番茄花园
    关键词:
    主题:
    备注:
    点击这里显示更多文档属性
    智力竞赛抢答器顶层逻辑图

    智力竞赛抢答器顶层逻辑图时序仿真图
    抢答判断panduan模块:
    VHDL源程序:
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_unsigned.all;
    entity panduan is
    port(clr,host,d1,d2,d3,d4:in std_logic;
    q1,q2,q3,q4,alm,jg:out std_logic);
    end panduan;
    architecture bhv of panduan is
    signal cp:std_logic_vector(3 downto 0);
    signal en:std_logic;
    begin
    cp<=d4&d3&d2&d1;
    process(cp,host,clr)
    begin
    if clr='0' then
    jg<='0';alm<='0';en0 then
    jg<='1';alm<='0';en0 then
    jg<='0';alm<='1';en<='1';
    end if;
    end process;
    process(en,cp)
    begin
    if en'event and en='1' then
    q1<=cp(0);q2<=cp(1);q3<=cp(2);q4qqqqq<="1111";
    end case;
    end process;
    end bhv;
    时序仿真图:
    记分jifen模块:
    VHDL程序;
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_unsigned.all;
    entity jifen is
    port(host,alm:in std_logic;
    l1:out std_logic_vector(3 downto 0):="0000";

    下一页

  • 下载地址 (推荐使用迅雷下载地址,速度快,支持断点续传)
  • 免费下载 DOC格式下载
  • 您可能感兴趣的
  • 多路智力竞赛抢答器  智力竞赛抢答器  四路智力竞赛抢答器  抢答器  八路抢答器电路图  八路抢答器原理图  抢答器电路图  知识竞赛抢答器  plc抢答器毕业论文