智力竞赛抢答器顶层逻辑图
智力竞赛抢答器顶层逻辑图时序仿真图
抢答判断panduan模块:
VHDL源程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity panduan is
port(clr,host,d1,d2,d3,d4:in std_logic;
q1,q2,q3,q4,alm,jg:out std_logic);
end panduan;
architecture bhv of panduan is
signal cp:std_logic_vector(3 downto 0);
signal en:std_logic;
begin
cp<=d4&d3&d2&d1;
process(cp,host,clr)
begin
if clr='0' then
jg<='0';alm<='0';en0 then
jg<='1';alm<='0';en0 then
jg<='0';alm<='1';en<='1';
end if;
end process;
process(en,cp)
begin
if en'event and en='1' then
q1<=cp(0);q2<=cp(1);q3<=cp(2);q4qqqqq<="1111";
end case;
end process;
end bhv;
时序仿真图:
记分jifen模块:
VHDL程序;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity jifen is
port(host,alm:in std_logic;
l1:out std_logic_vector(3 downto 0):="0000";
- 多路智力抢答器免费下载 > 智力竞赛抢答器
-
智力竞赛抢答器
下载该文档 文档格式:DOC 更新时间:2008-01-01 下载次数:0 点击次数:1文档基本属性 文档语言: Simplified Chinese 文档格式: doc 文档作者: 番茄花园 关键词: 主题: 备注: 点击这里显示更多文档属性 经理: 单位: 番茄花园 分类: 创建时间: 上次保存者: 修订次数: 编辑时间: 文档创建者: 修订: 加密标识: 幻灯片: 段落数: 字节数: 备注: 演示格式: 上次保存时间:
- 下载地址 (推荐使用迅雷下载地址,速度快,支持断点续传)
- DOC格式下载
- 更多文档...
-
上一篇:奔流牌802型多功能智力竞赛抢答器
下一篇:TL-803型智力竞赛抢答器使用说明书
点击查看更多关于多路智力抢答器免费下载的相关文档
- 您可能感兴趣的
- 多路智力竞赛抢答器 智力竞赛抢答器 四路智力竞赛抢答器 抢答器 八路抢答器电路图 八路抢答器原理图 抢答器电路图 知识竞赛抢答器 plc抢答器毕业论文
- 大家在找
-
- · 搜狐高清视频下载
- · 广联达服务新干线视频
- · 北京天上人间美女照片
- · cad密度板雕花图下载
- · 立窑水泥生产工艺
- · 大众郎朗逸报价1.6
- · 宝宝不拉便便
- · 电磁振动给料机价格
- · autocad工程绘图
- · qq三国曹冲
- · 半导体器件
- · 北京低压电工本考试题
- · 氟碳喷涂价格
- · 沈阳数控车床
- · 计算机导论姚爱国
- · 华南理工大学录取名单
- · 钢结构车棚cad图纸
- · flash遮罩效果
- · 化工基础习题解答
- · 福建人力资源考试网
- · 三年级数学期中试卷
- · 起重工招聘工资
- · 高中政治文化生活教案
- · 极品飞车13apk数据包
- · 预防医学导论
- · 5230qq斗地主游戏下载
- · 爱情斯德哥尔摩综合症
- · 成都科文瑜伽教练培训
- · 车载测速仪原理
- · b6┤?
- 赞助商链接