http://www.amy-studio.com/
FPGA 入门系列实验教程——点亮 LED
1. 实验任务
点亮发光二极管.通过这个实验,熟悉并掌握 CPLD/FPGA 开发软件 Quartus II 的使用方法和开发流程以及 VHDL 的编程方法.
2. 实验环境
硬件实验环境为艾米电子工作室型号 EP2C5T144 开发套件. 软件实验环境为 Quartus II 8.1 开发软件.
3. 实验原理
FPGA 器件同单片机一样,为用户提供了许多灵活的独立的输入/输出 I/O 口 (单元) .FPGA 每个 I/O 口可以配置为输入,输出,双向,集电极开路和三态 门等各种组态.作为输出口时,FPGA 的 I/O 口可以吸收最大为 24mA 的电流, 可以直接驱动发光二极管 LED 等器件.图 1. 1 为 8 个发光二极管硬件原理图, 本原理图对应艾米电子工作室型号为 EP2C5T144 FPGA 开发板及接口板.通过 原理图可知如果要点亮这八个 LED,所以只要正确分配并锁定引脚后,在相应 的引脚上输出相应高电平"1" ,就可实现点亮该发光二极管的功能.本工作室开 发板均采用输出"1"点亮 LED 的模式,以后就不再另作说明.
http://www.amy-studio.com/
图 1. 1 发光二极管 LED 硬件原理图
4. 实验程序
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity LED is // 实体 port(dout:out std_logic_vector(7 downto 0)); end LED;
//端口设置
architecture behave of LED is //构造体 begin dout<="10101010"; end behave; 代码分析: 控制 LED 的亮灭, 就是控制 LED 管脚电位的高低, 8 个管脚分别置为 1, 将 0, 1,0,1,0,1,0,则 8 个 LED 灯呈亮灭交叉排列.
http://www.amy-studio.com/
5. 实验步骤
1)打开 Quartus II 软件并建立工程 (1)通过桌面上的快捷方式打开 Quartus II 8.1 软件,进入集成开发环境,软 件界面如图 1. 2 所示. 菜单栏
标题栏
资源管理器
编译状态显示
工程栏
信息显示窗
图 1. 2
Quartus II 软件界面
我们首先简单了解一下各部分的作用: 标题栏:显示当前工程的路径和程序的名称. 菜单栏: 主要包括文件 (File ) 编辑 , (Edit) 视图 View) 工程 , ( , (Project) , 资源分配( Assignments),操作( Processing),工具( Tools), 窗口(Window),和帮助(Help),9 个下拉菜单组成. 工具栏:包含常用命令的快捷图标. 资源管理窗:显示当前工程中所有相关的文件. 工程工作区:对不同的工程文件进行各种操作. 编译状态显示窗:显示模块综合,布局布线过程和时间. 信息显示窗:显示软件综合,布局布线过程中的信息.
http://www.amy-studio.com/
(2)在图 1.2 中选择 File→ New project wizard 来新建一个工程.新建工程 向导说明对话框如图 1.3 所示.
图 1.3
新建工程向导说明对话框
http://www.amy-studio.com/
(3)在图 1.3 中单击 Next 进入如图 1.4 所示对话框
保存工程路径 工程名称
顶层实体名
图 1.4 新建工程路径,名称,顶层实体指定对话框
注意:文件夹所在路径名和文件夹名不能用中文,空格和括号,可以用下划线, 最好不要以数字开头.建议使用顶层文件的实体名作为工程名,即工程名和顶层 文件的实体名保持一致.
http://www.amy-studio.com/
(4)在图 1.4 中单击 Next 进入如图 1.5 所示对话框
图 1.5 新建工程添加文件对话框
(5)在图 1.5 中单击 Next 进入如图 1.6 所示对话框.在该对话框中指定目标器 件 , 这 里 我 们 选 择 的 是 艾 米 电 子 工 作 室 开 发 套 件 用 的 Cyclone II 系 列 的 EP2C5T144C8
- led实验报告 > 入门系列实验教程点亮
-
入门系列实验教程点亮
下载该文档 文档格式:PDF 更新时间:2009-09-02 下载次数:0 点击次数:1文档基本属性 文档语言: B?蝼葒 文档格式: pdf 文档作者: 关键词: 主题: 备注: 点击这里显示更多文档属性 经理: 单位: 分类: 创建时间: 上次保存者: 修订次数: 编辑时间: 文档创建者: 修订: 加密标识: 幻灯片: 段落数: 字节数: 备注: 演示格式: 上次保存时间:
- 下载地址 (推荐使用迅雷下载地址,速度快,支持断点续传)
- PDF格式下载
- 更多文档...
-
上一篇:微机原理与接口技术课程实验报告
下一篇:华恒ARM9嵌入式教学实验指导书
点击查看更多关于led实验报告的相关文档
- 您可能感兴趣的
- 3528led死灯实验报告 led点阵实验报告邮件 led点阵实验报告信箱 led点阵实验报告mail led点阵实验报告邮箱 plc实验led 单片机led显示实验 dsp5509led闪烁实验 led点阵实验邮箱
- 大家在找
-
- · 钢结构厂房吊装方案
- · 三星格机指令
- · youjizz
- · dotaimba英雄介绍
- · 太原百度明珠招聘
- · 直接引用句
- · 东莞cnc车床师傅招聘
- · 全国大学生建模大赛
- · 鱼际皮瓣术
- · 文秘应该具备的素质
- · 西西cf秒杀挂一枪400
- · 草虫的村落观摩课课件
- · mfc程序设计
- · 皮卡单边桥
- · 通用技术ppt
- · 智能家居系统图
- · 宁波维可照明
- · 中央研究院
- · 人教版初三化学教材
- · 少儿信息学
- · peepingtom
- · 起重吊装计算
- · 2011年陕西信合招聘
- · 电气工程设计规范
- · 东莞桥头哪里有鸡婆
- · 可变配气正时技术
- · 酷酷123网址.url
- · 杭州市红十字会医院
- · ao斯密斯燃气热水器
- · 数据结构视频
- 赞助商链接